旗下微信矩阵:

英特尔将在三年后打败台积电?

到 2022 年底,当我们看到英特尔 4nm 是否按时问世时,我们应该会再次获得有关进展的好消息。
2022-04-12 09:58 · 微信公众号:半导体行业观察  编辑部   
   

据Anadtech报道,过去几年,英特尔一直在经历公司制造扩张的重要时期。

尽管芯片巨头最近在俄亥俄州和德国宣布的新设施引起了很多关注,这是可以理解的——尤其是考虑到它们对英特尔的代工服务计划的重要性——但英特尔一直在努力扩大现有设施以供自己使用。该公司开发下一代 EUV 和 Gate-All-Around 型晶体管 (RibbonFET) 不仅需要创建和完善底层技术,而且还需要更多空间。

为此,英特尔今天在俄勒冈州为公司的主要开发工厂 D1X 的 Mod3 扩展举行了盛大的开幕式。此次扩建于 2019 年首次宣布,是自 2010 年 D1X 初始建设以来英特尔主要开发工厂的第三次此类 mod (module) 和第二次扩建。

但除了大张旗鼓之外,该工厂的最新模块对英特尔来说是一个真正重要的Mod:它不仅为工厂增加了 270,000 平方英尺的洁净室空间——将 D1X 扩大了约 20%——而且它是*的工厂Mod。大到足以支持英特尔将从其 18A 工艺开始使用的高数值孔径 (High NA) EUV 工具——ASML 即将推出的 TWINSCAN EXE:5200 EUV光刻机 ,这个设备比英特尔用于其*代 EUV 工艺(Intel 4/Intel 3)的 NXE 3000 系列 EUV 设备大得多。它是如此之大,以至于 D1X 的天花板太低而无法容纳机器,更不用说支撑其重量的地板了。

因此,可以说Mod3 的构建在很大程度上是为了这台大型机器。

同时,虽然 D1X-Mod3 直到今天才正式宣布开放,但英特尔自去年 8 月以来已经将关键设备转移到 Mod3中。因此,今天的开幕式是该Mod的正式发布,因为它的一部分已经设置好(如果尚未使用)。尽管如此,根据英特尔的说法,即使有了这样的*优势,该公司预计将在一年内继续使用工具,特别是当他们引入剩余的低优先级工具时。

英特尔路线图更新:Intel 18A 提前到 2024 年下半年

除了向媒体介绍 D1X-Mod3 的开幕式外,英特尔还利用他们最新的新闻发布会让大家快速了解英特尔开发路线图的最新更新。严格来说,这并不是什么新鲜事——因为所有这些都是在 2 月份的英特尔 2022 年投资者会议上首次宣布了。但是,这是英特尔*次让技术媒体而不是投资者来了解其开发工作的现状。

这里的大新闻是英特尔正式提前了在英特尔 18A 节点上制造的开始日期。Intel的第二代“埃”节点原本预计在2025年;但现在该公司将其提高了半年,到 2024 年下半年。

因此,英特尔的路线图现在看起来像这样:

由于该公司计划在今年晚些时候推出其* EUV 工艺 Intel 4 做,从 2023 年下半年开始,英特尔的路线图开始显得非常紧凑。当年下半年,Intel 3 将投入生产,这是英特尔的增强的 EUV 工艺。与此同时,Intel 20A 可能会在 6 个月后投入生产。20A 是英特尔的*个“埃”节点,其中包含了他们的全栅极型“RibbonFET”FinFets 以及 PowerVias。

但是,如果一切按计划进行,由于 18A 的提前,20A 似乎将是一个相对短暂的节点。英特尔的第二代埃节点,将采用更新的ribbon设计和对英特尔 GAA 制造技术的其他改进。由于 18A 仍然是英特尔制造路线图中最远的节点,因此该公司对 18A 将带来的所有新事物保持相对沉默,但它仍然是英特尔计划重新确立芯片制造行业无可置疑的领导地位的关键。

据英特尔称,18A 开发进展顺利,公司的研发业务现在处于或*于所有开发里程碑,这使公司有信心在 2024 年底而不是 2025 年开始制造基于工艺节点的产品按照最初的计划。

然而,引入 18A 的一个后果是,这意味着英特尔现在肯定会在没有所有High NA 机器的情况下开始生产 18A。18A 仍然是High NA 机器首次亮相的工艺节点,但由于 TWINSCAN EXE 5200 预计要到 2025 年才能到位,这意味着英特尔现在必须使用其现有的 3000 系列机器来启动 18A 生产。在这个最新公布之前,英特尔一直在把High NA 机器和 18A 绑在一起,所以无论这是否总是实际情况,现在显然不是这样。

反过来,这对 18A 生产意味着什么还有待观察。由于英特尔可以将其普通(非 HA)机器用于 18A,因此High NA 机器的*优势可能是吞吐量,由于 High NA 更高的精度,英特尔可以处理几乎没有(或没有)多重图案的晶圆。看起来,最有可能的结果是英特尔将能够在 2024 年生产 18A,甚至可能量产,但在*台High NA 机器可用之前,他们将无法进入英特尔规模的大批量生产。

而且,与往常一样,应该注意的是,英特尔的制造路线图日期是新工艺节点投入生产的最早日期,而不是基于该技术的硬件上架的日期。因此,即使 18A 按照现在的计划在 24 年下半年推出,也很可能在 2025 年的几个月后才能将*批产品交付客户手中,尤其是如果英特尔在该窗口的后期推出。鉴于这些发布窗口的巨大规模和英特尔自己的历史,所有这些都是一个可能的赌注,因为英特尔很少在发布窗口的早期发布新产品/技术。

最后,英特尔的开发简报还包括确认英特尔正在采用纯内部“降低测试风险”节点作为其 PowerVia 技术开发流程的一部分。测试节点的目的是通过允许英特尔独立于 RibbonFET 开发和测试 PowerVias 来消除Intel 20A 工艺的全部风险。在这种情况下,测试节点在前端使用英特尔成熟的 FinFET 技术,同时在后端使用 PowerVia 的测试版本。虽然他们尚未针对 RibbonFET 宣布此类节点,但即使不存在这样的节点,不也必在 20A 上与 RibbonFET 一起调试*代 PowerVia 仍然是流程的简化,因为它允许英特尔半独立地追求这两个元素,并在此过程中互相学习。

与英特尔过去开发主要新制造节点的方式相比,这是一个重大变化,甚至他们也是*个承认这一点的人。英特尔的 10nm 问题在很大程度上是由于一次将太多的技术变化捆绑在一起,再加上特征尺寸的非常激进的缩减造成的。将这些东西分成更小、更频繁的制造节点更新是英特尔未来降低这种风险的一种方式。现在有了一个用于 PowerVia 开发的内部测试节点,他们的目标是进一步降低风险,以便能够在 2024 年上半年同时推出 RibbonFET 和 PowerVia,作为英特尔 20A 的一部分。

晶圆厂放缓,英特尔迎来机会

在今年2月的投资会议上,英特尔CEO基辛格在接受台湾经济日报采访的时候表示,自己的2纳米芯片将在2024年实现量产,这将早于台积电。虽然英特尔信心满满,但英特尔真能实现超越吗?针对这个论题,semiwiki作者Scotten Jones也发表了他的观点。

Scotten Jones首先说道,在去年的一个会议上,他曾强调,台积电是当之无愧的*。在那次会议之后,经常有人问他——英特尔什么时候会赶上台积电。当时作者的回答是——除非台积电自毁长城,否则永远不会。

但Scotten Jones表示,在一年后,代工厂开始有点步履蹒跚,但英特尔正在加速,现在的英特尔是否能赶上呢?在提出了新的设想后,Scotten Jones回顾了一些英特尔的历史,讨论了他们在 2000 年代的领导地位,然后说到 2010 年代他们是怎么开始落后的。

据介绍,在2014 年至 2019年间,三星和台积电各推出 4 个节点,但英特尔推出 2 个,这主要是因为英特尔节点的单个密度跳跃更大,但当你将 4 个代工厂跳跃链接在一起时,它们的密度增加超过英特尔并处于*地位。图 7总结了这一点。

上图仅说明了英特尔的“节点”,它们并没有停滞不前,对于 14nm,他们发布了 5 个版本,所有版本都具有相同的密度,但性能逐渐提高;对于 10nm,他们发布了 4 个版本,再次以相同的密度但有所提高性能(注意最后一个版本现在已重命名为 7nm)。

到 2020 年,三星和台积电都在生产 5nm,与英特尔同期的10nm 相比,它们的工艺更密集。台积电从 7nm 跃升到 5nm,然后是三星,并且凭借最密集的工艺、最小的 SRAM 单元尺寸和业界*硅锗 FinFET 成为明显的*。图 8总结了这一点。

但到了 2021 年,晶圆厂的发展速度放缓,局面似乎开始转变。

Scotten Jones在文章中指出,三星 3nm 在这年遇到了良率问题,但他坚信,到 2022 年,三星的 3GAE(早期)工艺将几乎专门用于内部产品,2023 年将向外部客户发布 3GAP(性能)。三星选择了 3nm 水平纳米片(HNS) (三星称为 Multibridge 的一种GAA工艺)。我相信 HNS 生产问题仍在解决中,三星对率先使用 HNS 的兴趣导致了延误和低良率。

台积电确实冒着在 2021 年开始使用基于 FinFET 的 3nm 工艺的风险,但现在生产推迟到 2022 年末,并在 2023 年推出行业产品。2019 年,台积电有风险试产 5nm ,到 2020 年末,搭载台积电 5nm 工艺芯片iPhone亮相,用 3nm芯片的iPhone我们要到 2023 年才能看到。台积电还将这一工艺的密度从最初的 1.7 倍目标降低到约 1.6 倍,同时降低了性能目标。

在三星和台积电经历延迟的同时,英特尔宣布了“Intel Accelerated”,这是一个在 4 年内实现 4 个节点的激进路线图。考虑到 14nm 用了 3 年而 10nm 用了 5 年,这确实加速了。坦率地说,当它宣布时我对此持怀疑态度,但在最近的投资者活动中,英特尔宣布,将*进的Intel 18A容易从预期的 2025 年提前到 2024 年,这让我尤为惊讶。

2025年,会是一个转折点吗?

按照英特尔最新的路线图,他们的工艺会按照以下时间推出:

2022年,英特尔将推出 4nm 工艺,这是英特尔首次使用 EUV设备,新工艺的性能将比 7nm 提升 20%。英特尔之前曾谈到这一代的密度提高了 2 倍,但现在只是说“显著的密度提高”,我们估计为 1.8 倍。

三星 3nm 可能仅用于内部使用,密度提高 1.35 倍,在相同功率下性能提高 35%,在相同性能下功率降低 50%。其密度改进不是很令人印象深刻,但性能和功率改进可能是由于采用了HNS技术。TSMC 3nm 基于 FinFET,将提供约 1.6 倍的密度改进,在相同功率下性能提高 10%,在相同性能下功率降低 25%。

2023年,英特尔将推出3nm 工艺,性能提升 18%,库更密集,EUV 使用更多。我们估计密度提高了 1.09 倍,使其更像是一个半节点。三星 3GAP 应该可供外部客户使用,台积电 3nm 部件应该出现在 iPhone 中。

2024 年上半年英特尔的Intel 20A(20 埃 = 2nm)工艺将亮相,新节点将带来 15% 的性能提升。这将是英特尔的*个 HNS(他们称之为 RibbonFET),他们还将引入背面供电(他们称之为 PowerVia)。背面供电解决了 IR 功率下降问题,同时使前端互连更容易。我们估计,这个工艺的密度较之上一代提高了 1.6 倍。

2024 年下半年,Intel 18A 工艺将提前到来,并带来 10% 的性能提升。我们估计密度提高了 1.06 倍,使这又是一个半节点。这个过程已经从 2025 年开始,英特尔表示他们已经向客户交付了测试设备。

2025年下半年,三星 2nm 将亮相,我们预计它将是 HNS,因为它将是三星的第三代 HNS(将 3GAE 算作*代,GAP算作第二代),而他们的前几代密度提升相对较少,将有我们预测 1.9 倍。

台积电尚未宣布他们的 2nm 工艺,只是说他们希望在 2025 年拥有*的工艺。我们可能会在 2024 年看到 他们2nm,但目前我们将其放在 2025 年,我们预计同样使用HNS 工艺,并且估计密度为 1.33 倍改进。我们相信密度的提升将是适度的,因为它是台积电的*个 HNS,而且因为 3nm 工艺非常密集,进一步的改进将更加困难。

此图说明了英特尔如何通过做 4 个节点而代工厂做 2 个节点来在代工厂上“翻转脚本”。

我们现在可以看看英特尔、三星和台积电到 2025 年的密度比较。我们还根据他们的 2nm 公告添加了 IBM 的 2nm 研究设备。下图显示了密度与年份和节点的关系。

从此图来看,我们预计台积电将在 2025 年之前保持密度*。

如果没有在不同的制程上运行相同的设计,就很难将进程相互比较以获得性能,而且这种情况很少发生。我们生成此图的方式如下:

Apple A9 在三星 14nm 和台积电 16nm 上进行生产,Tom’s hardware 发现两个版本的性能相同,我们已将此节点的性能标准化为三星和台积电的 1。

从 14/16nm 节点到 3nm,我们使用了公司宣布的性能改进来绘制相对性能。对于 2nm,我们使用了我们自己的预测。

我们没有任何在英特尔工艺以及三星或台积电上运行的设计。但是,AMD 和 Intel 都生产 X86 微处理器,而 AMD 采用 TSMC 7nm 工艺的微处理器已经与具有相似性能的 Intel 10nm Superfin 处理器竞争,我们将 Intel 10SF 设置为与 TSMC 7nm 相同的性能。这并不理想,假设两家公司在设计方面都做得同样出色,但却是*的比较。然后,我们根据英特尔的公告从 10SF 扩展了所有其他英特尔节点。

再一次,我们根据 IBM 的 2nm 公告将 IBM 的 2nm 放在了这张图表上。

我们的分析使我们相信,英特尔可能会在一年和节点的基础上取得性能*。这与英特尔宣称的“每瓦性能*”的目标是一致的。假设台积电指的是密度,他们声称他们将在 2025 年拥有*工艺的说法也可能是正确的。

总之,我们相信英特尔能够在代工厂陷入困境的时候显著加快他们的工艺开发。尽管我们预计英特尔不会在所研究的时间段内重新获得密度*优势,但我们确实相信他们可以重新夺回性能*优势。

到 2022 年底,当我们看到英特尔 4nm 是否按时问世时,我们应该会再次获得有关进展的好消息。

参考链接:

https://www.anandtech.com/show/17344/intel-opens-d1x-mod3-fab-expansion-moves-up-intel-18a-manufacturing-to-h22024

https://semiwiki.com/semiconductor-services/ic-knowledge/310900-can-intel-catch-tsmc-in-2025/

【本文由投资界合作伙伴微信公众号:半导体行业观察授权发布,本平台仅提供信息存储服务。】如有任何疑问,请联系(editor@zero2ipo.com.cn)投资界处理。