旗下微信矩阵:

代工三雄激战3nm

随着3nm成为芯片架构的“分水岭”,晶圆代工市场或将再次迎来变数。
2022-08-11 18:05 · 微信公众号:芯潮IC  十巷   
   

前不久,三星电子宣布量产3nm制程芯片,此举使三星成为全球首家量产3nm芯片的公司,也标志着整个半导体行业迎来一个新的里程碑。

回顾晶圆代工行业几十年的发展历程,这段“风水轮流转”的产业脉络,常常演绎着“你方唱罢我登场”的经典戏码。

近年来,随着摩尔定律不断发展,先进制程的发展之路,更是荆棘重重。随着先进制程技术研发难度以及研发投入力度越来越大,联电、格芯等相继放弃先进制程的竞争,实际有能力生产7nm及更小芯片制程的只有台积电、三星以及稍后一步的英特尔。

当前,市场对于先进制程的热度只增不减,市占率不断飙升。据IC Insights数据显示,在2019年,10nm以下先进制程的市占率仅为4.4%,到2024年其比例将增长到30%,而10nm-20nm制程的市占率将从38.8%下降到26.2%,20nm-40nm制程的市占率将从13.4%下降到6.7%。

数据来源:IC Insights

可以预见,在广阔市场前景吸引下,各厂商展现出抢占先进制程的企图心。

本次三星3nm芯片基于GAA晶体管结构,率先抛开了延续已久的FinFET架构。在3nm制程抢先一步的三星,是否意味着在与台积电的“双雄”之战中占据了先机?台积电固守FinFET的背后有哪些考量?开启IDM2.0战略的英特尔,又在代工领域取得了哪些新突破?

本文将围绕三者在技术路线、市场进展和客户等方面进行分析梳理,在即将到来的3nm时代,谁将成为新的主宰者。

GAA“登基”,FinFET“退位”

什么是GAA架构?

GAA——(Gate all around Field Effect Transistors,GAAFET),又称全环栅晶体管,是一种继续延续现有半导体技术路线的新兴技术,可进一步增强栅极控制能力,克服当前技术的物理缩放比例和性能限制。

三星电子在3nm工艺中开始引入GAA架构。据资料介绍,与采用FinFET架构的5nm工艺相比,三星*代3nm工艺可以使功耗降低45%,性能提升23%,芯片面积减少16%;而未来第二代3nm工艺则使功耗降低50%,性能提升30%,芯片面积减少35%。

三星电子强调,其GAA技术的设计优势来自于DTCO(设计技术协同优化),这能够帮助提升芯片的PPA(性能、功率、面积)。相信关注AMD和英特尔的人来说比较熟悉,DTCO是一种根据芯片设计的能力定制标准单元和电路的技术一定的工艺技术,以*限度地提高性能,降低功耗,降低成本。

在这一层面,三星是大胆采用新技术的“革新者”,全球首次采用GAA晶体管结构的芯片,标志着芯片制造进入了新的时代。

据了解,GAAFET有两种结构:一种是使用纳米线(Nanowire)作为电子晶体管鳍片的常见GAAFET,另外一种则是以纳米片(Nanosheet)形式出现的较厚鳍片的多桥通道场效应管MBCFET。

三星电子的3nm制程为MBCFET技术。从GAAFET到MBCFET,可以视为从二维到三维的跃进,能够改进电路控制,降低漏电率,带来更高的效能与更佳的能源效率。

在3nm技术的演进中,三星采用GAA技术,而台积电则继续采用FinFET工艺。

毫无疑问,过去很长一段时间内,FinFET都是个好东西。它除了让摩尔定律得以延续外,同时也让晶圆制造厂可以持续提升芯片的效能并缩小体积。其*的特色就是采用了立体式的结构,改善了MOSFET的电路控制性能,并减少漏电流的发生,另一方面也缩短了晶体管的闸长。

台积电是在2013年11月宣布成功试产FinFET,而当时所采用的制程是16nm;英特尔更早,在2011年就推出了商业化的22nm FinFET制程技术。

至于三星,则是在14nm制程才采用了FinFET架构。不过当时三星处于追赶的位置,还因此跳过了20nm制程,直接进攻一个全新世代的技术,并且取得了相当的成果,可以说是一次成功的策略。

自2011年以来,FinFET运用立体的结构,增加了电路闸极的接触面积,进而让电路更加稳定,同时也达成了半导体制程持续微缩的目标。

但走到现在3nm这个关口,FinFET的微缩之路终究来到了尽头,再向下将会遇到制程微缩而产生的电流控制漏电等物理极限问题。洞悉到FinFET的极限之后,晶圆制造厂就开始着手进行相关的研究布局,以引领未来的先进制程之争。

由于当前先进工艺市场两强之争的局面,让落后的三星不得不选择较为激进的“弯道超车”策略,企图在3nm这个制程世代上开始导入新的晶体管架构技术,期望借此追上甚至超越台积电。

相较于三星采用大动作追击的策略,台积对于导入GAA架构则显得保守且小心,其3nm中仍将沿用FinFET架构,到2nm时再切入GAA架构。台积电之所以仍选择FinFET,除了其强大的制造能力之外,很大原因还在于FinFET不用变动太多的生产工具,也能有较具优势的成本结构。对于客户来说,既不用有太多设计变化还能降低生产成本,可以说是双赢局面。

另外,台积电已是市场的*者,稳健拓展业务远比大胆推进技术更为重要。台积电一向看重生产良率和高获利率,不会贸然进行量产不稳定、不够成熟的制程。

台积电在工艺技术开发方法和新工具的使用上相当保守,这为其客户提供了很多可预测性。这点也可以从使用EUV光刻机中看出,2018年三星开始部署EUV光刻来生产7nm芯片,而台积电在2018年并未将EUV设备用于其N7节点,仅在原始工艺的问题得到解决或确定以及EUV工具成熟后,才在2019年为其后续的N7+技术提供EUV层。

复旦大学微电子学院副院长周鹏曾在采访中表示,FinFET结构在2011年开始商业化,从22nm开始采用,至今已经经历了11年的发展。虽然在芯片进入到5nm之后,采用FinFET结构的芯片开始出现漏电等问题,但是相比较于崭新的GAA结构,仍是相对稳定和成熟的技术。GAA的工艺并不比FinFET简单,它的发展也需要一个改进的过程。

先进制程竞赛,谁走的更快?

三星“率先出击”

从市场进展来看,三星未来的*技术节点将采用GAA器件架构,三星代工厂计划推出两种3nm GAA工艺——3GAE和3GAP。

*代3GAE已进入风险生产,将在年底年和明年实现量产。第二代产品3GAP预计将迅速跟进,并于明年年底进入量产阶段。三星表示,与 3GAE 相同的 5nm 工艺相比,3GAP 将提供降低高达 50% 的功耗,性能提升 30%,面积减少 35%。

据粗略估计,三星的3GAE将超过台积电的N5,但有可能在密度方面与N4并驾齐驱。展望明年,3GAP可能与台积电的N3节点相当。

图源:WikiChip

三星预计2nm将在3GAP之后的两年内推出,其2nm节点称为2GAP,计划于2025年进入量产阶段。

台积电“固守城池”

在3nm的竞争中,台积电是三星的一大劲敌。

台积电却似乎并没有被三星“拼命三郎”般的追赶打乱量产的节奏。相比于三星电子的GAA制程,台积电的3nm工艺仍将采用FinFET晶体管结构。

台积电在日前召开的年度技术论坛上,宣布3nm将于下半年面世,实际芯片将于2023年初交付给客户。并谈到了将在未来几年推出的四种N3衍生制造工艺(总共五个3nm级节点)——N3E、N3P、N3S和N3X

这些N3衍生工艺旨在为超高性能应用提供改进的工艺窗口、更高的性能、增加晶体管密度和增强电压。台积电提供多个N3版本的原因在于满足其客户的需求,这些客户仍在寻求每瓦性能的改进以及每年左右晶体管密度的提升。另一方面,代工厂的N2依赖于使用GAA架构,预计这将带来更高的成本、新的设计方法、新IP和许多其他变化。虽然尖端芯片的开发人员将很快转向N2,但台积电的许多普通客户将在未来几年坚持使用各种N3技术。

同时,台积电还推出支援N3的TSMC FINFLEX技术,这是台积电的“秘密武器”,极大地增强了其设计灵活性,并允许芯片设计人员精确优化性能、功耗和成本。

FinFlex技术将允许芯片设计人员在一个模块内混合和匹配不同类型的FinFET,以精确定制性能、功耗和面积。对于像CPU内核这样的复杂结构,这样的优化提供了很多提高内核性能的机会,同时仍然优化了裸片尺寸。

除了3nm“撞档”之外,三星与台积电在2纳米芯片量产时间上同样你追我赶。三星计划2025年量产采用GAA技术的2纳米芯片,台积电也将于2025年量产2纳米芯片,并将以GAA工艺取代FinFET工艺。

台积电2nm技术的宣布,单就技术上来说,就是正式宣告FinFET架构微缩之路的终结。这个堪称是近十几年以来,台积电*竞争力的芯片制程技术,止步于3nm。

依据台积电自己公布的资料,相对于N3,N2技术在相同功耗下,速度提升了10-15%;在相同速度下,则功耗降低25-30%。而在应用领域方面,N2将会推出针对行动运算的基本版本,另也会推出高效能版本和小芯片整合的解决方案,预计在2025年开始量产。

与台积电的N7和N5一样,N3或将成为另一个持久节点系列。尤其是随着台积电在2nm阶段转向基于纳米片的GAAFET,3nm将成为“经典”前沿FinFET节点的最后一个系列,许多客户将坚持使用几年。反过来,这也是台积电为不同应用准备多个版本的N3以及FinFlex技术的原因,以便为芯片设计人员的设计提供一些额外的灵活性。

英特尔“重返战场”

在经历了移动互联网时代“被超越”,传统PC市场“被蚕食”后,英特尔希望通过一系列战略举措,重新夺回“半导体行业领头羊”的地位,半导体制造能力的强化正是其中最关键的一环。

面对台积电与三星的压力,2021年3月,英特尔CEO帕特·基辛格正式推出IDM2.0战略,强化英特尔半导体制造的竞争力。7月份,英特尔公布了详细的制程工艺和封装技术路线图,宣布到2025年将在工艺上再度*业界。

在2022年投资者大会上,英特尔曾表示,Intel 4(以前为英特尔7nm)将在2022年下半年实现量产。Intel 3(英特尔7nm+)在2023年下半年量产。Intel 20A(前身为英特尔5nm)将在2024年量产。

如果英特尔继续走上正轨,按照路线图明年发布Intel 3,那么他们就将拥有一个在密度和性能上具有竞争力的代工工艺,而且英特尔已经开放了其代工事业。

根据英特尔之前的信息,其新建的两座晶圆厂分别命名为Fab52、Fab62,并首次透露这些工厂将会在2024年量产20A工艺,这是英特尔面向未来的CPU工艺,首次进入后纳米时代,首发埃米级工艺,其中的A就代表埃米。

虽然工艺细节还没公布,不过从Intel 4开始,它对应的是台积电的7nm工艺,Intel 3工艺对应的是6nm工艺,20A工艺则是对标的台积电5nm工艺,还会有2大黑科技——Ribbon FET及PowerVia

根据英特尔所说,RibbonFET是英特尔对GAA晶体管的实现,它将成为公司自2011年率先推出FinFET以来的*全新晶体管架构。该技术加快了晶体管开关速度,同时实现与多鳍结构相同的驱动电流,且占用空间更小;PowerVia是英特尔独有的、业界*背面电能传输网络,通过消除晶圆正面供电布线需求来优化信号传输。

不过英特尔的3nm工艺似乎还没有公布详细规划。目前在3nm芯片的生产上,英特尔选择了台积电。

整体来看,台积电、三星、英特尔都在加大半导体制造领域的投入力度。先进工艺特别是3nm、2nm则成为本轮三大半导体巨头在半导体制造领域竞争的焦点。来自5G、云计算、大数据相关应用的带动,未来几年对高性能计算、低功耗的需求不断增加,将更需要先进工艺的支持。

届时,三大巨头将围绕先进制程展开一场对决。

得客户者得天下

三星“客户疑云”

如果仅从0到1的角度来分析,三星是*个量产3nm芯片的厂商,意味着其正努力重新站稳脚跟,与主要竞争对手台积电抗衡。

据日经亚洲评论报道,即使三星宣布量产3nm,看似在激烈竞争下取得重要*,但后续有很多细节值得观察。其中,“客户在哪”这个问题攸关3nm制程量产的可信度,且*个交货客户是谁,更是相当重要的观察指标。

从当前市场现状来看,台积电显然已在5nm/4nm一代中处于*地位。市场之所以对三星的能力持保守态度,是因为其先进制程芯片良率不如预期。此前,三星电子8/7/5nm制程产品均出现良率和功耗问题,使高通、英伟达等头部客户转投台积电。近几个月来,三星电子的良率情况曝光和代工业务高管人事调整不断

但放眼未来,若想评判谁更成功,还需要看三星3nm芯片的性能是否比台积电的强,客户选择用谁的芯片更多。如果一切顺利,作为*家采用GAA技术的晶圆厂,三星可能会比台积电获得暂时材料上的优势,提供一个高性能、高收益的工艺,或有助于恢复下游客户的信心。

三星迄今尚未透露3nm芯片的客户名单,只表示这些芯片初期将用于“高性能计算应用”,供应商和其他消息人士表示,这些运算芯片的首批客户,将包括中国大陆的加密货币矿商,但由于近来加密货币价值崩跌,这些客户可能无法长期依赖。

三星3nm芯片将在开发制造技术的华城园区制造,而不是在最新建造的平泽厂量产,因此预计其生产规模不大。

另一方面,三星自由品牌旗舰手机都正在弃守自家生产的处理器芯片,这对三星晶圆代工事业来说无疑是一大挫败。天风证券分析师郭明錤指出,三星今年初推出的Galaxy S22系列中,7成搭载高通处理器,预期明年初推出的Galaxy S23系列将舍弃自家生产的Exynos处理器,仅推出搭载型号SM8550的高通新旗舰芯片骁龙8 Gen 2机型。

在当前趋势下,预计骁龙8 Gen 2明年将在高阶Android智能手机市场取得更多市占率。随着其市占率扩增,台积电将同步受惠。

台积电“高枕无忧”

由于在先进制程芯片上的表现优异,台积电已进一步扩大*三星的差距。TrendForce数据显示,台积电已拿下56.3%的晶圆代工市场,位居*,远高于第二名三星的16.3%。

除了上面提到的高通之外,台积电也是苹果CPU芯片的主要代工厂。由于iPhone是销量*的智能手机,要求在很短的周期内制造并出货CPU。目前除了台积电外,其他厂商的制造设备和技术极难满足这项要求。

从苹果等其他客户的角度来看,选择台积电也较有庞大的好处。相较于三星,台积电并非垂直市场的直接竞争者,可以较安心地把芯片设计机密数据托付给台积电。台积电的现成设计数据也特别齐全,能支援客户的半导体设计。

图源:DIGITIMES

从DIGITIMES整理的数据来看,半导体设计巨头均是台积电的头部大客户。

据悉,台积电3nm的首批客户将包括英特尔、苹果两大科技巨头。其他如英伟达、高通、AMD与联发科也已排队预约产能。大摩分析师Charlie Chan日前发表报告称,台积电在2023年的3nm芯片代工市场上几乎是垄断性的,市场份额接近100%。

不仅如此,站在苹果等客户的角度来看,台积电的纯晶圆代工厂的优势相当明显,主要是台积电并非手机业务的竞争对手,而三星旗下仍有手机业务,会让客户担心技术与机密遭到窃取,苹果反而可以更轻松的晶片机密交给台积电。

从工厂方面来看,中国台湾南科18厂四至六期是台积电3nm量产基地。6月中旬据外媒报道,台积电正准备在台南的生产中心再建4座价值100亿美元的工厂,都将用来生产3nm芯片。台积电CEO魏哲家在今年一季度的财报分析师电话会议上曾透露,在3nm制程的量产上,预计量产后*年的投片量将高于7nm和5nm制程同期。

不过日经也提到,全球芯片荒突显全球晶圆代工重押在台积电身上的风险,三星则是要证明他们的产品能成为台积电的替代品。

英特尔“快马加鞭”

近日,英特尔宣布将为联发科提供芯片代工业务,这无疑是英特尔重启代工业务以来的一个重大利好消息。

该公告发布之际,美国半导体行业,尤其是英特尔,正处于从政府获得大量补贴以增加美国芯片制造的风口浪尖。

当前,联发科大部分代工业务均由台积电代工,但它也在寻求通过增加美国和欧洲的产能来实现其供应链的多元化。据悉,新兴的英特尔代工服务 (IFS) 将为联发科生产一系列智能边缘设备的芯片,但英特尔拒绝就联发科产品的发货时间表发表评论,表示“英特尔16”节点将在2022年为其客户提供流片,然后在2023年初开始量产。

对于“英特尔16”节点,英特尔进一步对 22FFL 技术进行了现代化改造,并增加了对第三方芯片设计工具的支持,这与英特尔在内部使用的专有设计工具形成鲜明对比。对于 IFS 而言,如果它计划吸引芯片设计企业加入其生产服务,那么支持第三方电子设计自动化 (EDA) 软件进行芯片设计是向前迈出的关键一步。

英特尔计划将200亿美元的自有资金投入IFS部门,希望扭转多年来的下滑趋势,部分原因是向联发科等芯片设计师提供制造服务。另外,IFS的投资动力来源于签署了高通和亚马逊网络服务(AWS)作为初始客户,并赢得了美国国防部的合同。同时还引起了其他行业巨头英伟达的兴趣。

仅靠*批客户不会建立一个蓬勃发展的第三方代工厂,英特尔一直在大力投资以建立其主动性。英特尔斥资 54 亿美元收购了现有的第三方晶圆厂 Tower Semiconductor,后者是一家拥有大量客户组合的大批量后缘节点生产专家,并从台积电招募了 Suk Lee等经验丰富的*,以扩展其设计技术生态系统。

英特尔还通过向RISC-V生态系统投入10 亿美元来扩大其视野,承诺在需要时构建Arm芯片,并为其客户授权其自己的x86 IP以构建他们的定制设计。 

随着英特尔调整代工业务模式,将联发科合作伙伴加入名单是另一项重要成就。

结语

随着三星率先量产3nm,台积电原有优势巨大,英特尔开始追击。

目前来看,这场“先进制程”之间的竞争还没有*的输赢之分,因为绝大部分晶圆代工厂已经告别了先进制程的竞赛,使得诸多客户只能在台积电、三星及英特尔之间进行选择,而台积电一家的产能,也难以维持庞大的先进制程市场。因此,哪怕三星的芯片有再次陷入性能“滑铁卢”的风险,英特尔漫长的“挤牙膏”之后重启IDM2.0业务,依旧会有厂商愿意再去“尝尝螃蟹”。

未来,台积电、三星、英特尔等先进制程玩家的竞争仍将继续,这场逼近物理极限的战争“硝烟”正浓。

【本文由投资界合作伙伴微信公众号:芯潮IC授权发布,本平台仅提供信息存储服务。】如有任何疑问,请联系(editor@zero2ipo.com.cn)投资界处理。