旗下微信矩阵:

2024年中国半导体投资深度分析与展望

目前行业库存已经逐步回归合理水平,叠加下游AI需求强劲,半导体行业景气度回升,有望开启积极备货,步入上行周期。
2024-06-28 11:10 · 云岫资本     
   

市场表现

2023年全球经济减速、终端消费疲软,“去库存”成为半导体产业2023年至今的主旋律。A股33家有代表性的半导体设计公司的库存中位数从2023年Q1的9.5个月峰值回落到2024年Q1的6.7个月,库存逐步回归合理水平。2023年半导体上市公司市值持续波动,2024年Q1伴随AI等新应用落地和下游需求缓慢复苏,半导体市值逐步回归,半导体行业走出低谷,正式步入周期上行通道。

2023年半导体行业投资进一步收紧,据企名片数据,2023年半导体行业完成约650起投融资交易,融资规模约545.6亿元人民币。2024年Q1融资数量及金额同环比均下降,剔除长鑫科技108亿元大额融资极值影响,共计完成融资52.81亿元人民币,同比下降24%。

AI大模型在2023年飞速发展,截止2023年底国内大模型已经超过300个,拥有10亿参数规模以上大模型的厂商及高校院所超过250家,AI大模型已成为推动国内半导体行业成长的重要动力。与此同时,大模型参数量及训练数据量的指数级增长对算力、存力和运力提出更高要求,先进封装作为后摩尔时代提升芯片性能的重要手段,受益于AI大模型迎来发展机遇,需求大幅增加,产能持续紧张。

2023年美日荷联手加大对中国先进制程设备及先进计算芯片的出口管制,试图阻止中国先进制程芯片的崛起。7月,日本针对23个品类半导体制造设备实施出口管制省令正式生效,涉及清洗、薄膜沉积、热处理、光刻、刻蚀、测试设备;9月,荷兰政府宣布自2024年1月起,阿斯麦向中国大陆客户销售先进型号的浸没式DUV需获得许可;10月,美国商务部工业安全局发布新的先进计算芯片、半导体制造设备出口管制规则,并将壁仞科技、摩尔线程列入实体清单;2024年4月,美国对华半导体出口管制新规生效,新增管制EUV掩膜基板、更新中国澳门等特地地区出口政策、明确技术关键参数、补充整机产品限制并增加逐案审查政策。

总结来看,2024年半导体投资要关注三个热点:

AI硬件基础设施,AI大模型及其应用的发展需要算力、存力、运力、功率半导体的强力支撑;

先进封装,先进封装技术将深度受益于AI领域算力芯片的旺盛需求,将实现高速增长;

半导体制造、设备与材料,国际形势加剧恶化,半导体管制范围不断扩大,国内半导体产业强链、补链需求迫在眉睫。

AI硬件基础设施篇

(一)算力

AI大模型正在向多模态支持、拓宽上下文长度等方向深层次发展,对算力需求呈指数级增长,如何进行大规模数据的高效处理和存储是当前算力设施面临的两大挑战。

2024年3月英伟达发布GB200,该芯片由2个B200和1个Grace CPU合封而成,大大提升AI场景CPU价值量占比。ARM架构服务器CPU得益于低功耗的特点,近年来越来越受到全球各大云服务厂商青睐,亚马逊、英伟达、微软、华为等大厂纷纷布局自研AI芯片+ARM服务器CPU方案,预计2025年ARM CPU在数据中心占比将提升至22%

2023年RISC-V在架构、生态、应用的发展远超预期。根据SHD的数据显示,RISC-V基金会在2023年底已有会员4037家,各大巨头包括Meta、谷歌、英特尔、高通、阿里、三星等纷纷投身其中,并将更多的注意力放在了RISC-V与AI的深度融合上。2023年至少有16家研发RISC-V芯片的国内创企获得新一轮融资,2023年RISC-V北美峰会预测在2030年RISC-V将占领各主流市场近30%的份额。

相较性能更为优异的DSA架构,GPU架构在通用性上的突出优势使其能快速兼容各类模型、场景,通过大规模落地量产平摊研发成本。此外,GPU架构于性能端也存在软件优化空间,CUDA平台已形成软件生态壁垒,助力AI各类场景应用硬件加速。2023年英伟达在全球AI服务器市场占有率达到65%,保持*的龙头地位。

2022年10月,美国商务部工业与安全局(BIS)公布了《对向中国出口的先进计算和半导体制造物项实施新的出口管制》,禁售了英伟达的高性能H100、A100芯片。为了应对政策制裁,英伟达推出了中国*版H800、A800芯片,在单卡算力不变的基础上削弱了卡间互联能力,阻碍多机多卡算力集群的构建。2023年10月美国商务部宣布禁令升级,*版H800、A800芯片成为历史,英伟达只好再次推出性能更低的A20、L20芯片,算力遭到大幅削减。在英伟达高性能芯片获取困难的背景下,国内高性能GPU芯片预计将长期处于供不应求阶段,因此我们认为国产GPU应首先解决有无问题,国产替代的投资机会预计将集中于硬件性能接近A100/H100,软件兼容CUDA生态的GPU芯片创业公司

AI实时、局部数据处理和推理任务主要在边缘侧,边缘AI芯片的优势在于保护数据安全、在网络连接差的场合仍然可用、降低功耗、低延迟数据传输、低成本部署等。混合式AI时代要求“云-边-端”紧密协同,从商用成熟的节奏上,云端模型训练和推理先行,然后是边缘端的算力升级,但是边缘端最终算力需求更大,带动缘AI芯片需求增长,并对其单位算力、功耗和成本提出更高要求。

当前计算能力与数据搬运之间的鸿沟以每年50%的速率扩大,大模型时代数据访存在整个计算周期里的占比达95%以上,“存储墙”问题亟待解决。存算一体是AI时代数据搬运最根本的解决方案,能大幅提升计算效率并降低功耗。受到AI旺盛需求拉动,存算一体将迎来商业化转折点,小算力和大算力场景先后爆发,预计2025/2030年基于存算一体技术的芯片市场规模将分别达到125/1,136亿元

(二)存力

存储芯片属于强周期行业,以3-4年为一个周期。2024年开始,下游智能手机、PC等市场的复苏以及AI技术的兴起拉动了对存储芯片的需求,存力需求逐步复苏,推动存储行业进入新一轮上行周期

在AI服务器市场,随着AI应用的快速发展,对高容量和高速存储的需求急剧增加,推动更高性能的DDR5市场渗透率进一步提升,预计2025年达到80%,HBM市场有望从58亿美元增长至近百亿美元,高性能产品驱动存储行业未来增长。

随着模型规模的不断飙升,海量数据的处理、访存对GPU计算单元、存储子系统提出更高的要求。对于GPU架构而言,算力单元堆叠已不是难事,单元数量、性能密度均随制程提升不断提高

当前GPU性能瓶颈为存储容量、带宽不足。大型模型训推中大部分时间都在等待数据到达计算资源,如何将数据高效的通过存储子系统供给算力单元成为性能提升方向。离计算单元越近,存储容量越小、访存带宽越高,数据传输越高效,目前突破存储子系统性能提升方向有三个:提高SRAM容量、提高DRAM带宽、提高SSD带宽

HBM通过将DRAM芯片层叠在一起,形成一个垂直的结构,具备高带宽、高容量、低延时和低功耗优势,能够突破内存容量与带宽瓶颈,目前已逐步成为AI服务器中GPU的搭载标配。英伟达推出的多款用于AI训练的芯片A100、H100和H200,都采用了HBM显存。

随着HBM需求的激增,产能紧张的问题也日益凸显。SK海力士、美光科技、三星等国际存储芯片大厂纷纷加大产能扩张力度。2024年伊始,SK海力士、美光已宣布HBM产能全部售罄。

全球存储芯片市场高度集中,中国存储器市场国产化率较低,传统存储器先进技术掌握在美国、韩国和日本手中。NAND Flash市场主要被三星、铠侠、闪迪、美光和海力士垄断,NOR Flash市场的国产化进程相对较快。

3D NAND 持续高堆叠,各大晶圆厂继续向300层更高堆叠产品推进,长江存储等国产厂商加速赶超。在3D NAND实现商业化并加速渗透背景下,NOR也在制程限制、密度要求以及降本需求等因素推动下走向3D,但仍处于起步阶段,存在国产方案的发展机遇

进入高性能计算时代,新兴应用场景推动新型存储市场增长,AI、智能汽车等新兴应用对数据存储在速度、功耗、容量、可靠性等层面提出了更高要求。新兴的存储技术旨在集成SRAM的开关速度和DRAM的高密度特性,并具有Flash的非易失特性,具备千亿市场空间。在ReRAM等新型存储器的发展上,中国与其他国家站在同一起跑线,有机会出现下一个三星和海力士。

(三)运力

AI大模型的持续快速迭代推动分布式AI集群算力几何式增长,目前大模型训练对算力的需求约每3个月翻1倍。但同时网络通信能力的增长显著滞后于算力,网络通信能力成为制约分布式AI集群的整体计算效率重要因素,大模型时代的AI基础设施亟需更高性能的网络互联芯片

网络互联主要包括服务器间通信互联和片间通信互联,交换机、光模块、PCIe Retimer、NVSwitch等都属于数据中心中网络互联器件,目前都在往更高数据传输速率方向演进。国外以英伟达、博通、Astera Labs等为代表的网络互联厂商都显著受益于AI发展的浪潮,国产中高端网络互联芯片仍较为稀缺,有待更多国产芯片供应商突破封锁垄断。

交换机作为各种类型网络终端互联互通的关键设备,广泛应用于消费级市场、企业级市场、工业市场和云服务商市场,在数据中心IT设备投入中,交换机价值量占比约为11%,2023年全球市场空间为461亿美金。随着AI算力需求的爆发,数据中心互联需求爆发,节点间每秒数据交换速率需求加倍增长,带动交换机需求增加和迭代升级。

交换芯片是交换机的核心部件之一,负责交换机底层数据包的交换转发。交换芯片由海外厂商*主导,2020年中国商用以太网交换芯片市场中CR3厂商均为境外品牌,大陆厂商盛科通信市占率为1.6%。中长期来看中高端交换芯片如以太网交换芯片仍有大量国产替代发展空间,国内初创企业大有可为。

随着数字经济高速发展,数据流量持续增加促使光器件的需求高速增长。在5G产业持续渗透和新一轮全球数据中心建设的背景下,全球光模块出货量及市场规模均逐年稳定上升,2026年出货量预计超过2.2亿片/年,市场规模预计超过170亿美元。国内光模块厂商占据半壁江山,市场话语权不断增强。2022年全球十大光模块供应商中,中国厂商已占据6家。

800G光模块在超规模数据中心、云计算及人工智能算力中心应用广泛。随着全球超大型数据中心建设加速,现有光模块正在迅速向800G过渡,预计2024年800G光模块出货量大幅提升。AI大模型的普及将为光模块需求带来千万量级增量,并将会以高速率光模块为主。

光芯片与电芯片是光模块最核心组件,两者占光模块价值量近70%。AI高算力需求将推动高速光芯片和电芯片快速发展,预计25G以上速率光芯片整体市场空间将从13.6亿美元增长至43.4亿美元,电芯片市场将达到20亿美元。但目前光芯片和电芯片的国产化率仍然很低。2021年25G光芯片的国产化率约20%,25G以上光芯片的国产化率约5%,仍以海外光芯片厂商为主。电芯片国产化率低于光芯片,国内只有少数供应商涉足25G及以下速率的电芯片产品,25G以上基本无国内玩家。

随着更大的数据量处理需求和数据中心的发展,AI基础设施硬件领域逐步推进国产替代,涌现出一批优质公司,CPU领域ARM架构的熠知电子、此芯科技等,RISC-V架构的赛昉科技、蓝芯算力等,GPU领域的摩尔线程等,GPGPU领域的阵量智能、凌川智能等,FPGA领域的京微齐力等;存储主控芯片领域的英韧科技等,新型存储领域的芯斯维等;光电芯片领域的橙科微、工研拓芯等,光模块领域的新菲光等,网络互联芯片领域的新港海岸、中科海网、比特智路等,交换机领域的星融元及交换机芯片领域的篆芯等。

(四)能源

随着AI算力爆炸式增长,数据中心服务器的耗电量也在不断增加,统筹能源使用效率逐渐成为核心问题。随着服务器电源单元(PSU)功率等级不断攀升,能效高、功率密度大、可靠性高成为服务器电源架构设计的新趋势,对功率半导体的性能提出更高要求

目前,SJ MOSFET、SiC和GaN功率芯片在服务器PSU中应用广泛。与*二代半导体材料相比,第三代半导体有更宽的禁带宽度、更高的击穿电场、更高的热导率、更高的电子饱和速率及更高的抗辐射能力,从而具有耐高压、耐高频、耐高温的优势,能满足AI数据中心高能效、高功率密度的要求,将引领数据中心电源系统的革新

碳化硅的导通电阻在高温下仍能保持稳定,在高电压、高功率和高温应用(例如数据中心电源)中表现出色。得益于新能源车、光储、充电桩、数据中心等市场爆发,碳化硅市场将保持迅速增长。

碳化硅衬底材料是碳化硅产业链中*价值的一环。碳化硅器件制作过程可分为衬底加工、外延生长、器件设计、制造、封装等环节,产业链存在较为显著的价值量倒挂现象,其中衬底制造技术壁垒最高、价值量*。在碳化硅产业链中,碳化硅衬底约占碳化硅器件成本的47%,而对于硅基器件来说,晶圆制造占据 50%的成本,硅片衬底仅占据7%的成本。

碳化硅衬底的核心壁垒在于晶体生长,缺陷控制难度极高。在目前主流的工艺中,PVT法目前仍然存在局限性。该工艺是在一个封闭系统中完成的长晶过程,其监测和控制都具有非常高的难度,导致目前碳化硅晶体生长厚度存在局限,良率也一直停滞不前。因此,目前碳化硅的长晶路线仍在不断迭代,业界主要在两种新的长晶路线上进行研发突破。

一种路线是高温化学气相沉积法(HTCVD),最早是在1995年由瑞典林雪平大学的Kordina提出,并已由Norstel实现4英寸衬底的量产。这种方法利用气态的高纯碳源和硅源实现碳化硅晶体生长,生长过程中可以持续通入气体,因此可以实现更高的长晶厚度和更精准的碳硅比控制,同时生长速度也高出PVT法一个量级

另一种路线是液相法(LPE),但是与硅行业不同的是,碳化硅只有在相当苛刻的高温高压条件下才可能呈现液态,因此目前碳化硅液相法使用的并不是碳化硅溶液,而是在硅溶液中通过金属助溶剂溶解碳进而长出晶体。由于液相法的生产环境更接近热力学平衡条件,其具有低成本、高良率、高品质的优势,能有效将碳化硅衬底单片的成本降低30%以上。但由于金属助溶剂配比以及生产过程中的温场控制工艺难度大,目前国内仅有部分厂商能够掌握核心knowhow。

SiC外延同样作为产业链条的核心环节,具有广阔的市场空间,2026年国内SiC外延市场规模预计将达14.82亿美元。国内外延厂商扩产迅速,2022-2026年外延产能CAGR有望达到122%,头部企业已经实现8英寸外延片量产突破。

良好的衬底资源能够有效提升SiC外延的产品质量,进而提升下游器件良率。未来随SiC衬底的成本逐渐下降,外延的价格也有望持续降低。

随着氮化镓行业应用领域的不断拓展,中国氮化镓行业市场规模不断扩大,其中数据中心是潜力*的应用场景。预计2026年全球数据中心GaN功率市场规模达1.4亿美元,2020-2026E CAGR有望达到81%。GaN将满足服务器电源单元的两大发展趋势:一方面提高效率,减少13%的能耗;另一方面提升功率密度,进而减小电源单元尺寸、优化数据中心整体机架排布,提供更紧凑、高效、可靠的电力供应系统。

近些年来,国内涌现了一批优秀且专业的功率半导体团队和创业公司,已具备在某些细分领域和国外厂商同台竞争的实力。例如长飞先进、南砂晶圆、超芯星、中科汇珠、晶格领域等企业已在碳化硅领域具备先发优势;英诺赛科在氮化镓赛道占据龙头地位;林众电子、旺荣半导体、瑶芯微、索力德普等企业则在硅基功率器件和模组赛道脱颖而出。

先进封装篇

随着高端芯片更小尺寸、更高性能、更低功耗的需求不断推进,封装技术对应朝着更高封装效率、更高引脚密度、更高效散热的方向发展。从历史来看,半导体封装经历了三次重大发展,底层新兴技术的发展推动了封装结构形式革新

*次是在20世纪80年代,SMT(表面贴装技术)的发展对芯片组装形式进行了革新,封装技术由通孔插装型封装发展为表面贴片式封装

第二次是在20世纪90年代,在SMT的基础上发展而来的微焊球(Bumping)技术、重布线层(RDL)技术对封装互连形式进行了革新,引线技术从金属引线向微型焊球方向发展,封装形式由表面贴片式封装发展为平面球栅阵列型封装

第三次革新是在2010年之后,过往的封装技术发展局限于二维平面互联集成度的提高,而硅通孔(TSV)、硅中介板(Interposer)等技术的发展颠覆了传统封装的结构形式,由二维向三维发展

先进封装以I/O数量多、芯片相对小、高度集成化为特色,四大底层技术为Bump、RDL、TSV和Wafer:

Bumping

凸块制造技术(Bumping)是先进封装的基础工程,运用于芯片与基板、芯片与硅中介层、芯片与芯片之间的“点”互连,相较于传统封装的引线连接(Wire-Bonding),通过以点带线的方式实现电气互联,有助于提高互连密度、封装效率、良品率。

RDL

再分布层 (RDL)是集成电路中重新分配电气连接的金属层,用于拓展二维平面的芯片与基板、芯片与芯片间的互连

TSV

硅通孔TSV(Through-Silicon Via)是穿过硅基板(Wafer或芯片)的垂直电互连技术,是实现3D先进封装的关键技术之一

Wafer

无源硅中介层(Silicon Interposer)在2.5D/3D封装中扮演着关键角色,可以实现顶部芯片与芯片、顶部芯片与底部封装基板之间的电性能互连

四大底层技术变革支撑封装形式不断发展,延伸出互联面积、互联效率大幅提高的各类先进封装方案。X-Y平面上Bumping、RDL技术的不断发展分别提高了互联密度、互联面积,支撑封装形式由倒装Flip-chip向晶圆级封装WLP发展,具体方案包括芯片级封装(WLCSP)、扇出式封装(FOWLP)等。此后TSV、硅中介层的发展使得芯片结构向三维发展,实现Z轴平面的高度集成,进一步提高互联面积、互联效率。2.5D/3D封装的代表性方案有CoWoS、EMIB、HBM、Foveros等。

根据技术类型、结构特点不一,先进封装延伸出不同方案,满足不同下游场景需求。WLP等基于X-Y平面延伸的2D方案可以提升引脚密度、提高封装效率和性能,同时由于不需要运用TSV技术使得方案成本相对更低,适合于对于小型化、性能提升有一定需求的消费电子产品、存储等领域。2.5D/3D封装则因其高度集成化的结构特性,成为满足异构集成、高性能需求的*方案。

先进封装技术广泛应用于各类芯片和下游应用中,根据Yole数据,下游应用包括人工智能、智能汽车、AR/VR、高性能计算、物联网、5G、智能手机、区块链等。先进封装技术将深度受益于下游超算、AI领域算力芯片的旺盛需求,实现高速增长。根据Omdia数据,全球2018年Chiplet处理器芯片市场规模为6.45亿美元,2024年将达到58亿美元,2035年将达到570亿美元。

先进封装占半导体封测市场比重不断增加,预计2026年突破50%,将形成五百亿美金规模市场。根据Yole数据,全球封装市场中先进封装占比由2014年的38%提升到了2022年的47.2%。未来随着先进制程进步放缓,先进封装作用将越来越明晰,引领封装技术继续向小型化、集成化、低功耗方向发展。在下游新兴应用的常动下,预计未来先进封装占比将进一步扩大,2026年将首次超过传统封装,占比达到50.2%,规模达到484亿美金。

目前先进封装国内量产产线以FC\WLCSP\Bumping等中端产能为主,高端2.5D/3D封装产能仍在建设中。国内先进封装赛道仍处于起步阶段,封测领域上市公司长电、通富、华天等作为行业龙头,正积极拓展WLP、SiP、FC、Bumping等中端先进封装技术积累和产线建设。长电在2016年并购全球第四大封测企业星科金朋,同年通富收购AMD苏州,2019年华天科技收购马来西亚封装厂商Unisem。先进封装不仅可以突破GPU等算力芯片先进制程的封锁,并且可以大幅提升大型芯片的良率、降低设计的复杂程度和芯片制造成本,是我国弥补先进制程缺失的重要路径。

除了上市公司外,市场还涌现出多家先进封装初创企业,专注于不同技术领域。专注于晶圆级封装技术的有云天半导体、芯德科技、中科智芯等企业,其中云天半导体是国内*实现4/6吋WLP-SAW封装量产的公司。2.5D/3D封装领域技术*的公司有华进半导体、盛合晶微,其中华进半导体作为国内*具备Interposer量产能力的企业,解决2.5D/3D先进封装卡脖子问题。

制造篇

当前国内半导体晶圆厂建设热度高涨,并向12英寸晶圆制造迈进。中国大陆已有73座晶圆厂,并且预计在未来几年将继续增加。预计2024年晶圆产能将以13%的增长率居全球之冠,每月产能将从760万片增长至860万片。12英寸晶圆厂因其更高的生产效率和成本效益而逐渐成为主流。中国大陆已有多座12英寸晶圆厂投入生产,并且预计未来五年还将新增24座12英寸晶圆厂,规划月产能222.3万片。

当前国内12寸DDIC/PMIC制造厂产能严重不足。虽然中国已有24座12寸Fab厂,但其中仅有中芯国际、华虹华力、晶合集成、粤芯四家企业共计4座12寸晶圆厂进行PMIC、DDIC的产品生产,且总产能较低,产能严重稀缺。尤其是先进制程产能严重不足,55nm以下先进制程产线仅有三条,且总产能不超过2万片/月。

2022-2023年,大陆厂商在全球显示面板领域市占率从70%上升到76%,处于*领导性地位;但DDIC综合市场(包括LCD、OLED等产品)中,大陆厂商市占率仍不足20%,应用与供给有巨大差距。大陆目前仅有中芯国际和晶合集成能够进行DDIC芯片生产,均为12寸晶圆厂,仍无法满足市场对大产能、先进制程的巨大需求。

2023年全球PMIC市场达到440亿美金规模,市场需求巨大。国内企业2023年PMIC领域的总营收仅为70亿人民币,仅占全球市场2.4%,市占率极低;头部企业圣邦股份,2023年PMIC市占率仅为全球市场的千分之六。国内PMIC晶圆厂只有中芯国际在12寸Fab中导入40nm、55nm工艺进行PMIC制造,其他Fab在成熟制程的晶圆水平上均相对落后。

2023及2024Q1国际半导体设备企业业绩分化显著,市场波动明显。2023年部分企业展现回暖迹象,ASML等企业实现较大幅度收入同比上升。2024Q1由于周期性调整和宏观需求环境的不确定性,国际半导体设备厂商收入整体下滑。

2023及2024Q1国内半导体设备行业市场整体回暖,厂商业绩表现乐观。由于晶圆厂扩产带动了对半导体设备的需求,2023年国内半导体设备厂商营收普遍较大幅度上升,拓荆科技、华海清科、北方华创和晶升股份实现50%以上增长。从2023年第四季度开始,半导体行业已呈现复苏态势,2024年*季度持续复苏,广立微、晶升股份实现收入翻番。

根据SEMI数据,半导体设备行业中国大陆市场占比逐渐提升,2023年已达到34.4%。国产设备厂商在刻蚀、沉积、清洗、CMP以及测试机、分选机、探针台等核心工艺环节已取得长足进步,且与海外传统厂商形成初步的技术对标。但在涂胶显影、离子注入以及光刻机领域差距仍显著,自主可控任重道远。

刻蚀是形成立体结构的核心步骤,分为干法刻蚀和湿法刻蚀两种工艺。干法刻蚀可实现各向异性刻蚀,具有较好的刻蚀一致性,较低的材料消耗,是主流刻蚀工艺。全球刻蚀设备市场被美日厂商垄断,刻蚀设备国产化率不足30%。泛林、东京电子和应用材料占据刻蚀设备市场主要份额,中微公司、北方华创的刻蚀设备处于国内*地位,部分技术水平和应用领域已达国际同类产品标准。

薄膜沉积通过精确控制厚度、成分和结构,对半导体电学特性产生重要影响。薄膜沉积包括CVD、PVD和ALD等工艺,PECVD和溅射PVD在全球薄膜沉积设备市场中占比较大,2023年PECVD市场规模达26.74亿美元,溅射PVD市场规模达9.45亿美元。应用材料在全球薄膜沉积设备市场中占据主要份额,在PECVD中市占率达80%。国内薄膜沉积设备需求持续扩张,预计到2026年,CVD设备需求将超过5500台,ALD和PVD设备需求可达3000台左右,国产化薄膜沉积设备潜在市场空间广阔。

离子注入机市场潜力巨大,国产空间广阔。受益于晶圆厂逆周期大规模扩产,中国大陆离子注入机需求快速放量。2024年全球半导体离子注入设备市场规模可达 242亿元,中国大陆市场规模将达92亿元。当前离子注入机仍依赖进口,国产设备验证速度逐渐加快。国内半导体设备进口额持续高增,离子注入设备24M1-M2单月进口额高达2.9亿美金。受到地缘政治以及海外设备厂价格与交期等影响,从2022年年底开始大陆晶圆厂正在加快离子注入机验证速度。

离子注入机的开发难度和技术壁垒高,单台价值量高。当前离子注入机市场主要由美国厂商垄断,应用材料和亚舍立占据主要市场份额。国内中科信(中电科)、凯世通(万业)进入市场较早,具备离子注入机的研发和量产制造能力,艾恩半导体等新兴企业亦已逐渐进入下游厂商验证。离子注入设备产品结构较为单一,通用性较强,较易实现规模化放量。

半导体量检测设备是决定FAB厂高良率和高经济效益的关键,对于质量控制至关重要。随着半导体工艺向先进制程发展,Fab对于量检测设备的需求持续攀升。2023年全球量检测设备市场规模达105 亿美元,中国大陆量检测设备市场规模达35亿美元。当前量检测市场被海外龙头垄断,中国市场国产化率不足4%。有图形纳米级微观检测设备是关键量检测设备,在量检测设备市场规模中占比达32%,中国大陆市场规模超过10亿美金。

高纯工艺系统赋能Fab实现纯度、工艺、安全三重控制,对Fab生产良率和稳定性具有重要影响。高纯工艺系统行业长期以海外、中国台湾企业主导,国外从20 世纪 70 年代开始发展高纯工艺系统,通过与高端制造跨国企业建立良好的合作关系,不断扩大在中国大陆的市场份额,下游客户以半导体、平板显示为主。中国大陆的主流供应商包括正帆、至纯、韩科等,技术能力可直接对标海外企业,实现设备自研生产及高纯特气&化学品输送,目前以本土企业为主要客户,积累了丰富的客户群,涉及众多下游行业。

AMHS(自动化物料搬运系统)在天花板上有序运行,是硅片和晶圆制造流程的重要组成部分,贯穿整个生产工序,是晶圆厂建设规划的关键部分。AMHS领域具有深技术护城河、前期研发投入高等特点,尤其是客户验证周期长、现场测试严苛等生态壁垒。随着晶圆厂从8英寸向12英寸生产线升级,工艺流程日益复杂,AMHS系统成为产业升级的必然趋势,将带动整体供应需求。

AMHS是现代化半导体工厂中*的单项投资项目,作为半导体芯片加工厂与先进封装厂的大动脉,AMHS系统将极大提升工厂产能。全球AMHS市场规模预计2028年可达到57.6亿美元。目前AMHS系统主要由国外公司垄断,目前全球AMHS市场头部玩家主要有日本大福、日本村田机械、韩国SFA以及Synus Tech(新施诺子公司)。若AMHS系统实现国产化,将对中国制造业具有重要的战略意义。

半导体、显示面板、光伏需求驱动湿电子化学品市场快速增长。预计至2025年,中国湿电子化学品市场规模将超过270亿元。G4以上等级的湿电子化学品价值量和壁垒均高,国产替代需求紧迫。湿电子化学品行业外资长期占据主导地位,高端产品国产化率较低。2022年德国巴斯夫等欧美企业占据31%市场份额,关东化学等日本企业占据28%的市场份额。光伏领域湿电子化学品国产化率可达99%,但半导体领域国产化率仅为35%。

IC载板占高阶倒装芯片封装成本的70%以上,是封装*的成本端。其中,ABF载板技术壁垒最高,面向CPU、GPU、FPGA、ASIC等算力芯片,2021年全球ABF载板供给缺口达30%,预计产能还将吃紧至2026年。随着高性能、高算力芯片需求高企,FC-BGA 已成为IC载板行业规模*、增速最快的细分领域。据Prismark预测,2026年ABF载板市场规模将达到121亿美元;而当前,全球ABF载板产能集中于中国台湾、日本和韩国,国产化率几乎为零。

随着陶瓷性能和工艺效果高端化,陶瓷基板价值量逐渐提升,氮化硅陶瓷基片价值可达120元/片,AMB活性金属钎焊价值可达400元/片。不同陶瓷材料结合不同金属化工艺,可运用在不同功率场景,DBC、AMB工艺可适应600V以上高压。当前全球陶瓷基板市场规模稳步上升,预计2026年可达268亿元。当前高端产品进口替代空间广阔,AMB基板的国产化率仅为5%左右。

在半导体制造领域,中芯国际、华虹半导体、合肥晶合、积塔半导体等老牌厂商在行业内积淀深厚,华芯杰创、德信芯片等新兴厂商发展势头强劲,其中华芯杰创为12寸特色工艺晶圆厂,能够代工车载芯片、PMIC、DDIC、Nor Flash等产品;德信芯片为深度特色工艺 P - Foundry代工平台,聚焦于MEMS、FRED和新一代功率器件。

近年来国内涌现了大量半导体设备优秀企业,中微公司、北方华创、邑文科技、磐石创新、首芯半导体、新施诺、艾恩半导体、匠岭半导体、矽行半导体等都是发展迅速的半导体设备公司,其中邑文科技主营碳化硅自研薄膜、刻蚀设备,磐石创新主营新型半导体材料单、多晶长晶设备,首芯半导体主营CVD、 PVD 和 ALD薄膜沉积设备,新施诺主营AMHS(自动化物料搬运)系统,艾恩半导体主营离子注入机设备,匠岭半导体主营前段晶圆制造和先进封装半导体量测与检测设备,矽行半导体主营有图形晶圆微观光学缺陷检测设备。

材料领域近年来涌现了一批优秀企业,包括第四代半导体领域的铭镓半导体,制造材料领域的徐州博康、宏芯气体、追光科技,封装材料领域的科睿斯、新菲新材料等。

2024年展望

云岫资本对于2024年中国半导体投资有如下观点:首先,AI发展不允许算存运力与能源存在瓶颈,突破瓶颈的新技术,如高性能AI芯片、3D存储、高速网络互联芯片及SiC芯片等迎来发展新机遇;第二,先进封装能够突破性能极限与先进制程管制,国产先进封装产线加速建设,在全球的市场份额不断提高,产业链受益;第三,国内晶圆厂加速建设,国产供应链培养在部分工艺环节初见成效,晶圆厂将继续带动国产半导体设备和材料高速增长,培育国产龙头企业

2023年全球经济减速、终端消费疲软,半导体行业持续处于去库存的阶段,目前行业库存已经逐步回归合理水平,叠加下游AI需求强劲,半导体行业景气度回升,有望开启积极备货,步入上行周期。同时,规模高达3,440亿元人民币的国家大基金三期设立,彰显了中国对打造本土半导体供应链的决心,一级股权投资市场估值调整,催化新一轮投资机遇

*特别说明:《2024中国半导体投资深度分析与展望》研究报告及《2024云岫中国半导体产业图谱》仅代表云岫资本的市场观点,无意对其中任何公司的实际所属产业、产品/服务或完整产品/服务体系作出严格定义、说明或评价。同时,不建议任何人士依赖该文件作出影响或可能影响其法律权利、权益或救济的判断或行动;对此,云岫资本不承担任何法律责任。

【本文由投资界合作伙伴云岫资本授权发布,本平台仅提供信息存储服务。】如有任何疑问,请联系(editor@zero2ipo.com.cn)投资界处理。

本文涉及